All Stories

  1. Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride
  2. Low‐temperature reduction of SnO 2 by floating wire‐assisted medium‐pressure H 2 /Ar plasma
  3. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells
  4. Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma
  5. Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems
  6. plasma-based in situ functionality of nitrogen
  7. Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care
  8. On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H2
  9. Cancer Treatments Using Low-Temperature Plasma
  10. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified
  11. Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation
  12. Plasma‐activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells
  13. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate
  14. Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma
  15. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma
  16. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar
  17. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma
  18. Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture
  19. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS)
  20. Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure
  21. Mechanistic understanding of cold atmospheric plasma applications
  22. Inside Front Cover: Plasma Process. Polym. 1/2021
  23. Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma
  24. Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma
  25. Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications
  26. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature
  27. Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD)
  28. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field
  29. Formation of spherical Sn particles by reducing SnO2 film in floating wire-assisted H2/Ar plasma at atmospheric pressure
  30. Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources
  31. Inside Back Cover Picture: Plasma Process. Polym. 10/2020
  32. Laser-induced-plasma-activated medium enables killing of HeLa cells
  33. Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress
  34. Plasma Agriculture from Laboratory to Farm: A Review
  35. Steering of surface discharges on through-glass-vias combined with high-density nonequilibrium atmospheric pressure plasma generation
  36. Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation
  37. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma‐activated solutions
  38. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals
  39. Non-thermal plasma–activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism
  40. Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties
  41. In-plane modification of hexagonal boron nitride particles via plasma in solution
  42. Electronic properties and primary dissociation channels of fluoromethane compounds
  43. Dry Process
  44. Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition
  45. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity
  46. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy
  47. In‐Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1‐Butanol
  48. In‐Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1‐Butanol
  49. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures
  50. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study
  51. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate
  52. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions
  53. Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride
  54. Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O2 downstream plasma
  55. Atmospheric Pressure Plasma-Treated Carbon Nanowalls’ Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS)
  56. Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlayer dielectrics used for semiconductor logic device interconnects
  57. Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes?
  58. Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product development
  59. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation
  60. Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective
  61. Cover Picture: Plasma Process. Polym. 6/2019
  62. Simultaneous achievement of antimicrobial property and plant growth promotion using plasma‐activated benzoic compound solution
  63. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation
  64. Chemical bonding structure in porous SiOC films (k < 2.4) with high plasma-induced damage resistance
  65. Erratum to “Chemical bonding structure in porous SiOC films (k < 2.4) with high plasma-induced damage resistance” [Micro and Nano Engineering Vol. 3 (2019) 1–6]
  66. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition
  67. Electronic properties and primarily dissociation channels of fluoroethane compounds
  68. Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass
  69. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol
  70. Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
  71. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass
  72. Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition
  73. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma
  74. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries
  75. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition
  76. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition
  77. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system
  78. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt-nanoparticle-supported carbon nanowalls
  79. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas
  80. Molecular mechanisms of non-thermal plasma-induced effects in cancer cells
  81. Liquid dynamics in response to an impinging low-temperature plasma jet
  82. Real-time control of a wafer temperature for uniform plasma process
  83. Effect of N2/H2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD)
  84. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching
  85. New Hopes for Plasma-Based Cancer Treatment
  86. Cytotoxic effects of plasma-irradiated fullerenol
  87. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH 4 /H 2 at 300–500 °C
  88. Reviewing of critical dimension uniformity at the atomically scaled feature's bottom.
  89. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition
  90. Low-autofluorescence fluoropolymer membrane filters for cell filtration
  91. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma
  92. Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry
  93. Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes
  94. Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride
  95. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells
  96. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas
  97. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine
  98. Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol–water mixtures: an EPR-spin trapping study
  99. Facile fabrication of a poly(ethylene terephthalate) membrane filter with precise arrangement of through-holes
  100. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma
  101. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons
  102. Electron impact ionization of perfluoro-methyl-vinyl-ether C3F6O
  103. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol
  104. Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials
  105. Plasma-activated medium (PAM) kills human cancer-initiating cells
  106. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy
  107. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma
  108. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence
  109. Selective production of reactive oxygen and nitrogen species in the plasma-treated water by using a nonthermal high-frequency plasma jet
  110. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma
  111. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium
  112. Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation
  113. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition
  114. Thermally enhanced formation of photon-induced damage on GaN films in Cl2 plasma
  115. (Invited) Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication
  116. Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy
  117. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation
  118. State of the art in medical applications using non-thermal atmospheric pressure plasma
  119. Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature
  120. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition
  121. Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions?
  122. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas
  123. Dry Process
  124. Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °C
  125. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing
  126. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air
  127. Bactericidal pathway ofEscherichia coliin buffered saline treated with oxygen radicals
  128. Effects of •OH and •NO radicals in the aqueous phase on H2O2and $\text{NO}_{2}^{-}$ generated in plasma-activated medium
  129. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas
  130. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet
  131. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes
  132. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2plasma etching of GaN
  133. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films
  134. Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy
  135. Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma
  136. Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects
  137. Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function
  138. (Invited) Control of Internal Plasma Parameters Toward Atomic Level Processing
  139. Thermal cyclic etching of silicon nitride using formation and desorption of ammonium fluorosilicate
  140. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium
  141. Red blood cell coagulation induced by low-temperature plasma treatment
  142. Cold plasma interactions with enzymes in foods and model systems
  143. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma
  144. Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma
  145. Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas
  146. Computational study on SiH4dissociation channels and H abstraction reactions
  147. Helium-based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles
  148. Dry Process
  149. Effects of nitrogen on the apoptosis of and changes in gene expression in human lymphoma U937 cells exposed to argon-based cold atmospheric pressure plasma
  150. Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition
  151. Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition
  152. Plasma with high electron density and plasma-activated medium for cancer treatment
  153. Plasma Blood Coagulation Without Involving the Activation of Platelets and Coagulation Factors
  154. Real-time temperature monitoring of Si substrate during plasma processing and its heat-flux analysis
  155. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching
  156. Histological and Nuclear Medical Comparison of Inflammation After Hemostasis with Non-Thermal Plasma and Thermal Coagulation
  157. EPR-Spin Trapping and Flow Cytometric Studies of Free Radicals Generated Using Cold Atmospheric Argon Plasma and X-Ray Irradiation in Aqueous Solutions and Intracellular Milieu
  158. Suppression of plasma-induced damage on GaN etched by a Cl2plasma at high temperatures
  159. Electronic properties of HBr, O 2 and Cl 2 used in Si etching
  160. Silicon nitride (SiN) etching of Ar or Kr diluted CH2F2 plasma
  161. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process
  162. CF3+ fragmentation by electron impact of perfluoro-propyl-vinyl-ethers
  163. Experimental evidence of warm electron populations in magnetron sputtering plasmas
  164. Charge exchange dissociative-ionizations in Ar-diluted CH2F2 plasmas
  165. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals
  166. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer
  167. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma
  168. Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals
  169. Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry
  170. Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature
  171. Real-time autonomous control of atom density drift during plasma ething
  172. Temporal changes in absolute atom densities in H 2 and N 2 mixture gas plasmas by surface modifications of reactor wall
  173. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma – effect of TMG flow rate and VHF power
  174. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls
  175. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls
  176. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution
  177. Density control of carbon nanowalls grown by CH4/H2 plasma and their electrical properties
  178. Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O 2 non-equilibrium atmospheric-pressure plasma jet
  179. Chemical reactions during plasma-enhanced atomic layer deposition of SiO 2 films employing aminosilane and O 2 /Ar plasma at 50 °C
  180. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo
  181. Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals
  182. Field Emissions from Organic Nanorods Armored with Metal Nanoparticles
  183. High H Radical Density Produced by 1-m-Long Atmospheric Pressure Microwave Plasma System
  184. Effect of gas flow on transport of O ( 3 P j ) atoms produced in ac power excited non-equilibrium atmospheric-pressure O 2 /Ar plasma jet
  185. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry
  186. Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2+ NO → F + FNO at an Elevated Temperature
  187. Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source
  188. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls
  189. Photoluminescence Study of Plasma-Induced Damage of GaInN Single Quantum Well
  190. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink
  191. A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis
  192. Wavelength Dependence of Photon-Induced Interface Defects in Hydrogenated Silicon Nitride/Si Structure during Plasma Etching Processes
  193. Dissociations of C 5 F 8 and C 5 HF 7 in Etching Plasma
  194. A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface
  195. Supercritical Fluid Deposition of High-Density Nanoparticles of Photocatalytic TiO 2 on Carbon Nanowalls
  196. Room-Temperature Si Etching in NO/F 2 Gases and the Investigation of Surface Reaction Mechanisms
  197. Surface roughness development on polymers under beam-irradiation
  198. Etching Enhancement Followed by Nitridation on Low- k SiOCH Film in Ar/C 5 F 10 O Plasma
  199. Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy
  200. Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells
  201. Surface loss probability of H on Si films in SiH4/H2 plasma
  202. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment
  203. Highly Selective Etching of SiO 2 over Si 3 N 4 and Si in Capacitively Coupled Plasma Employing C 5 HF 7 Gas
  204. Surface morphology on high-temperature plasma-etched gallium nitride
  205. Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching
  206. Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells
  207. Novel diffraction gratings fabricated by means of plasma nanotechnologies
  208. As-grown deep-level defects in n-GaN grown by metal–organic chemical vapor deposition on freestanding GaN
  209. Real-time in situ ESR on fungal spores during exposure of oxygen plasmas
  210. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature
  211. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma
  212. Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF$_{3}$ into N$_{2}$ Downflow Plasma
  213. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation
  214. Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas
  215. Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells
  216. Quantum Chemical Investigation for Chemical Dry Etching of SiO$_{2}$ by Flowing NF$_{3}$ into H$_{2}$ Downflow Plasma
  217. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature
  218. DC-superposed dual-frequency capacitively coupled plasmas (CCP)
  219. Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy
  220. Inactivation ofPenicillium digitatumSpores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma
  221. Sticking coefficient of hydrogen radicals on ArF photoresist estimated by parallel plate structure in conjunction with numerical analysis
  222. Impacts of CF$^{+}$, CF$_{2}$$^{+}$, CF$_{3}$$^{+}$, and Ar Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist
  223. Analysis of GaN Damage Induced by Cl$_{2}$/SiCl$_{4}$/Ar Plasma
  224. Real-Time In Situ ESR study of Synergistic Formation of Radical by VUV and H atom
  225. Spatial Distributions of Electron, CF, and CF 2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C 4 F 8 /N 2 /Ar Gas
  226. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics
  227. Dissociation Channels of c-C 4 F 8 to CF 2 Radical in Reactive Plasma
  228. Laser Scattering Diagnosis of Atmospheric Pressure Plasma Jet
  229. Behaviors of Absolute Densities of N, H, and NH 3 at Remote Region of High-Density Radical Source Employing N 2 –H 2 Mixture Plasmas
  230. Hydrophobic treatment of organics against glass employing nonequilibrium atmospheric pressure pulsed plasmas with a mixture of CF4 and N2 gases
  231. Plasma-Activated Medium Selectively Kills Glioblastoma Brain Tumor Cells by Down-Regulating a Survival Signaling Molecule, AKT Kinase
  232. Measurement of Hydrogen Radical Density and Its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2and Ar Mixture Gases
  233. A new framework for performance prediction of advanced MOSFETs with plasma-induced recess structure and latent defect site
  234. Enhancing Yield and Reliability by Applying Dry Organic Acid Vapor Cleaning to Copper Contact Via-Bottom for 32-nm Nodes and Beyond
  235. Etching Damage in Diamond Studied Using an Energy-Controlled Oxygen Ion Beam
  236. Efficient Reduction of Standby Leakage Current in LSIs for Use in Mobile Devices
  237. Surface reactions of organic films during N2/H2 plasmas etching
  238. Structure of diamond surface defective layer damaged by hydrogen ion beam exposure
  239. Defect creation in diamond by hydrogen plasma treatment at room temperature
  240. Structural change in diamond by hydrogen plasma treatment at room temperature
  241. Dangling bonds created during plasma etching of silicon dioxide films
  242. Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition
  243. Etching yield of SiO[sub 2] irradiated by F[sup +], CF[sub x][sup +] (x=1,2,3) ion with energies from 250 to 2000 eV
  244. Surface and gas-phase observations of Ar-diluted c-C4F8 plasma by using real-time infrared spectroscopy and planar laser-induced fluorescence
  245. Transitional change from etching to deposition under CF+ ion irradiation
  246. In vacuo electron-spin-resonance study on amorphous fluorinated carbon films for understanding of surface chemical reactions in plasma etching
  247. Planar Laser-Induced Fluorescence of Fluorocarbon Radicals in Oxide Etch Process Plasma
  248. Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments
  249. Early-stage modification of a silicon oxide surface in fluorocarbon plasma
  250. In–situ Time–Resolved Infrared Spectroscopic Study of Silicon–Oxide Surface during Selective Etching over Silicon in Fluorocarbon Plasma
  251. Infrared dielectric function for asymmetric peak line shape
  252. Thickness-deconvolved structural properties of thermally grown silicon dioxide films
  253. Contribution of interface roughness to the infrared spectra of thermally grown silicon dioxide films
  254. Analysis of native oxide growth process on an atomically flattened and hydrogen terminated Si (111) surface in pure water using Fourier transformed infrared reflection absorption spectroscopy
  255. Dependence of TO and LO mode frequency of thermally grown silicon dioxide films on annealing temperature
  256. Initial stage of native oxide growth on hydrogen terminated silicon (111) surfaces
  257. Infrared Spectroscopy Study of Chemical Oxides Formed by a Sequence of RCA Standard Cleaning Treatments
  258. Effects of Dissolved Oxygen in HF Solution on Silicon Surface Morphology
  259. Native Oxide Characterization on Silicon Surfaces
  260. FT-IR-RAS ANALYSIS OF THE STRUCTURE OF THE SiO2/Si INTERFACE
  261. Observation of Thin SiO2 Films Using IR-RAS
  262. An in-situ time-resolved infrared spectroscopic study of silicon dioxide (SiO/sub 2/) surface during selective etching over silicon in fluorocarbon plasma