What is it about?

Many implementations of the RISC-V ISA are available as open-source. But which one fits best for your project? This work compares the four most prominent RISC-V processors Rocket, BOOM, CVA6 / Ariane, and SHAKTI C-Class. Detailed benchmark scores (Dhrystone, Coremark, SPEC17), maximum frequency, area, power consumption, and energy efficiency are given for both an FPGA and ASIC technology.

Featured Image

Why is it important?

The measurements taken in this work allow to select the best-fitting RISC-V implementation for a processor design with specific performance, cost, and efficiency requirements.

Read the Original

This page is a summary of: A comparative survey of open-source application-class RISC-V processor implementations, May 2021, ACM (Association for Computing Machinery),
DOI: 10.1145/3457388.3458657.
You can read the full text:

Read

Contributors

The following have contributed to this page