All Stories

  1. Performance of 250W high-power HVM LPP-EUV source
  2. Development of 250W EUV light source for HVM lithography
  3. Development of 250W EUV light source for HVM lithography
  4. 100W EUV light-source key component technology update for HVM
  5. Performance of new high-power HVM LPP-EUV source
  6. Development of 250W EUV light source for HVM lithography
  7. Performance of one hundred watt HVM LPP-EUV source
  8. Key components technology update of 100W HVM EUV source
  9. Performance of 100-W HVM LPP-EUV source
  10. Sub-hundred Watt operation demonstration of HVM LPP-EUV source
  11. LPP-EUV light source development for high volume manufacturing lithography
  12. Development of laser-produced plasma-based EUV light source technology for HVM EUV lithography
  13. High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography
  14. Reliable high-power injection locked 6kHz 60W laser for ArF immersion lithography
  15. GT40A: durable 45-W ArF injection-lock laser light source for dry/immersion lithography
  16. Development of high-power ArF/F 2 laser platform for VUV microlithography
  17. Ultra line-narrowed ArF excimer laser G42A for sub-90-nm lithography generation
  18. Model-based SAR ATR system
  19. Seawater hydraulic actuator system for underwater manipulator
  20. Development of coupled tendon-driven multijoint manipulator